Мне нужно смоделировать Verilog на моем Macbook Pro для школы. Я пытался загрузить, настроить и установить icarus и veriwell. Тест make test работает для icarus, но не для veriwell, ни один из них не распознается терминалом.

Я использую команду:

iverilog hello.vl

а также

veriwell hello.vl

Терминал утверждает, что ни одна из этих команд не существует ...

Я очень новичок в настройке инструментов с терминалом, но я думаю, что проблема заключается в том, чтобы потом просто использовать программу. Есть идеи?

2 ответа2

0

Вы делали make install? В этом случае убедитесь, что каталог, в который он установлен, находится в вашем $PATH . Если вы используете csh/tcsh, вам может потребоваться выполнить команду rehash для оболочки, чтобы найти новые программы в пути.

Вот два похожих вопроса:

0

Я не пробовал veriwell, но я использую Icarus и GTKWave для среды моделирования Verilog.

Я использую режим verilog в Aquamacs в качестве редактора подсветки синтаксиса.

Возьмите ваш hello.vl (обычно используется просто .v) и скомпилируйте его, используя

iverilog -o hello hello.vl

Это создаст объектный файл с именем hello. Чтобы выполнить это, введите

vpp hello

Как только вы начнете писать тестовые стенды, вам захочется выгрузить волновой файл. Вы делаете это, добавляя это в свой тестовый стенд.

initial
 begin
  $dumpfile("wave.lxt");
  $dumpvars(0, <test bench module>);
 end

Затем вы можете загрузить wave.lxt в GTKWave (обратите внимание, что вам нужен X11 для использования GTKWave).

Немного более продвинутое, вы можете настроить Aquamacs на использование Icarus для компиляции вашего кода, чтобы вы знали, если у вас есть ошибка компиляции, прежде чем покинуть редактор.

Всё ещё ищете ответ? Посмотрите другие вопросы с метками .